跳转到主要内容

评论文章

前面。审稿。网。,17 October 2022
秒。物联网与传感器网络
卷3 - 2022 | https://doi.org/10.3389/frcmn.2022.884874

Nano-intrinsic安全与redox-based电阻记忆原语

  • 智能机电工程系,世宗大学,首尔,韩国

物理unclonable函数(PUF)利用优势,否则不良non-idealities创建物理系统很难复制即使同样的制造过程。Nano-intrinsic PUFs每个硬件实例使用纳米技术的可变性的加密随机性。在各种新兴的记忆,redox-based电阻存储器(ReRAM)是一种很有前途的候选人提供新一代低成本、低功耗、超薄PUF-based安全解决方案。综述了各种ReRAM-based PUF实现及其关键特性。我们比较他们的性能和讨论哪些属性ReRAM关注,PUF有效实施。

1介绍

安全是一个概念表达弹性从外部敌对势力对潜在危害或损害。安全的受益者可能包括对象、系统、人员、组织和机构容易受到不必要的环境的变化。这个词也指一种手段保护其受益者。手段包括,例如,保护系统(如栅栏,锁和载体),检测系统(例如,雷达和摄像头),和政策发展条件(例如,访问控制使用照片识别)。需要安全通信是一个历史悠久的话题,与早期例子可以追溯到公元前2000年的古埃及。埃及象形文字是一种书写系统隐藏秘密信息的意义。同样的,安全的军事通信过去无疑是至关重要的,在某种程度上,今天。在我们极大的数字化世界,需要数字信息安全已上升指数由于增加敏感信息处理和通信跨越各种平台,如计算机和智能移动设备。此外,互联网的爆炸式增长的东西(物联网)介绍了敏感信息在互联网上交流我们生活的每时每刻。不幸的是,保持安全是困难的,我们经常证人安全漏洞或整个分解在最坏的情况下。 In June 2010, Stuxnet demonstrated that a digitalized attack could interfere with the regular operation of a whole industrial plant is one profound example of a large number of similar occasions (陈和Abu-Nimeh, 2011年;朗格2011;拜尔et al ., 2015;破车,2015)。在许多方面,我们的社会已经离不开数字信息,高度可靠的安全需求和信任技术。

安全的一个分支,密码学的科学构建秘密书写系统信息安全(加密)和科学的断裂构造密码(密码分析)(洼地和Pelzl, 2009)。因为密码分析是保证一个密码系统的唯一方法是安全的,加密和密码分析是密切相关,通常由同一个人行使。这是在协议与Kerckhoffs原则(奥古斯特,1883),在这种最经典加密方法是基于这样的概念:密码只能被认为是安全的,如果系统的细节,除了安全的关键,披露,可以成功地抵御密码分析的尝试。在同一瞬间,Kerckhoffs原则强调的重要性不暴露安全外面尽管复杂的密码分析的关键。因此,安全的程度通常是表达的努力打破密码的要求的水平不知道关键。

当安全来到现代世界,对称加密和非对称加密技术被广泛使用。为主,非对称密码学已经占据了市场,而其成本已成为一个重要的问题。成本是衡量关于内存使用,耗电量,模具尺寸,和执行时间。相反,真实性和可信度是至关重要的因素在金融和银行业市场。因此,早期的密码学侧重于建立安全使用钢或重硬件安全模块。后来出现的非易失性存储器(NVM)添加一些应用程序的灵活性。当前最佳实践提供安全的移动系统是将密钥在非易失性电可擦可编程只读存储器(EPROM)或依靠电池不稳定的静态随机存取存储器(SRAM)。关键是用于硬件加密操作,关键长度对应的安全级别。然而,另一个规则应用的关键,需要更多的野生动物计算。换句话说,成本的增加是不可避免的实现高水平的安全。

基于软件的加密的实现通常是足够的设备不太关注应用程序的安全。例如,引导装载程序验证嵌入式固件的真实性通常用于防止大多数威胁消费者和工业设备使用数字签名和哈希函数。基于软件的解决方案很简单,不构成显著的成本问题。然而,当执行软件加密算法在平台上同时运行的其他应用程序,有一个潜在的信息泄露时间测量或检测密钥缓存数据,导致解决方案失败。此外,在一些传统的情况下,如消费品使用小型核心或空心芯片,基于硬件的加密是唯一的解决方案。对于这样的消费产品,成本压力,但安全不是销售的优势。这些安全实践证明一个常数之间的斗争实现低成本和高安全性水平。

尽管如此,消费产品必须提供与安全特性,以尽可能低的成本和身份验证通常是使用。对称的挑战/响应验证工作,防止潜在的伪造身份验证。如果目标是真正随机的数字,密码实现在硬件随机数生成是强制性的,而软件后处理理想帮助生产更多的数量。在经典认证,这个秘密需要永久地存储在二进制关键NVM的设备和仍未曝光。然而,这是很难坚持在实践中,作为执行物理攻击入侵等semi-invasive,或边信道攻击NVM相对比较容易;当它成功时,它可能会公开密钥(安德森,2001)。在这种背景下,这个硬件漏洞是一个最初的动机发展更好的重点保护方法(凡戴克和Ruhrmair, 2012)。

2背景

安全是一个重要的话题,由于近年来新兴硬件设计目标(拉维et al ., 2004)。硬件需要保护,作为潜在的硬件漏洞可能导致攻击上运行的程序和内容。出于类似的原因,制造集成电路(IC)不可信的铸造厂和应该避免使用这些组件(Majzoobi et al ., 2008)。当前硬件安全依赖传统的加密协议,秘密的二进制关键是永久地存储在硬件上的记忆装置,但内容保密。然而,这是很难坚持在实践中,作为执行物理攻击NVM相对比较容易。

2.1物理unclonable函数

物理unclonable函数的概念(PUF)可以表示为“指纹的一个对象。“人类的指纹是指可测量的物理特性作为人类的生物识别技术的一部分,和生物识别身份验证通常用于识别和访问控制。适当的人类生物识别技术适用于认证由于继承的特点,unclonability,和个性,这也适用于PUF概念以类似的方式(梅斯,2012)。

在2001年,帕普(2001)介绍了三维光学微结构PUF建设使用相干辐射和定义它为“物理单向函数,PUF的一般概念。在那之后,立即Gassend et al。(2002 b)提出了一种硅基PUF建设和将其描述为一个“物理随机函数。“两首字母缩写,代表“物理unclonable功能,“选择发音方便,避免混淆的概念“伪随机函数(脉冲)。“PUF并不严格的数学意义上的一个函数,因为单个输入可以与超过一个输出由于环境噪声在响应的一代。因此,PUF可以被描述为一个概率函数,因为它处理参数的不确定性(或可变性Uryasev 2000)。同时,PUF的输出是一个随机变量概率分布,并不是一个确定的值。

IC-based PUF安全主要优势由于其简单的数字基于电路结构。这包括一个简单的制造工艺,低功耗,小区域消费,可能形成反篡改电路。同样重要的是,PUF应用程序不需要昂贵的加密硬件作为安全散列算法(SHA)或公共/私有密钥加密算法。PUF的秘密来自集成电路的物理特性;因此,芯片装置必须驻留在数字存储器的秘密。从的角度攻击获取密钥,任何物理攻击试图提取数字信息必须同时芯片上电。

2.2 PUFs分类

2.2.1弱和强PUFs

PUF的可能输入称为挑战和由此产生的输出被称为反应,两人被定义为一个质询-响应(CRP)。对于一组PUF实例,对同样的挑战将不同的反应;因此,CRP的关键是区分一个PUF实例。弱和强PUFs分类是基于可能的c反应蛋白,或者更常见的是,c反应蛋白。弱PUF存储二进制密钥(s)在硬件的记忆,如只读存储器(ROM),闪存,并使用bit-to-cell NVM,映射方法。因此,CRP的总数是有限的细胞总数,通常只有一个c反应蛋白/ PUF实例。最受欢迎的实现弱PUF静态随机存取存储器PUF (SRAM-PUF),利用阈值变化的cross-coupled SRAM细胞,和例子SRAM-PUF和更多的基于内存的弱PUF结构将在3.4节中讨论。

反对弱PUF,强PUF提供了一个更复杂的质询-响应行为,生成响应细胞而不是简单地阅读。通常认为,进入反应是对外公开的。由于许多可能的挑战强大的PUF,即使对敌人物理拥有PUF实例,一个完整的读出所有的c反应蛋白是可以预防的,因为它是一个固定的时间内不可能列举所有的c反应蛋白(理想情况下,指数挑战比特)的数量。

2.2.2内在PUFs

内在PUF PUFs最广泛的调查类之一,虽然它不属于第一次尝试的类型描述PUF或PUF-like前面介绍的结构(帕普,2001;帕普et al ., 2002)。内在PUFs需要两个额外的特点:首先,完整的PUF建设应充分融入嵌入装置,包括测量设备;第二,整合应使用标准的制造流没有处理完成专门设计PUF-related特性和组件(梅斯和Verbauwhede, 2010)。由于这两个特点,内在PUF可以提供有成本效益的解决方案。例如,SRAM-PUF有利于建筑PUF SRAM已广泛应用于几乎所有的电子应用程序。

2.2.3 PUF扩展

Ruhrmair et al。(2011)提出了超高信息内容PUF (SHIC-PUF)最大化可榨出的结构信息中的一个物理系统大幅减少读出速度。其高度密集的信息化设计增加了免疫算法的攻击,包括机器学习技术,他们的安全甚至可能承受攻击者与无限的计算能力。横梁阵列(CBA)通常用于SHIC-PUF由于其结构的好处高密度信息和简单的集成芯片。其结构允许一个大型CRP空间;因此,所有SHIC-PUFs被认为是强有力的PUFs (Ruhrmair 2010)。

Gassend et al。(2002)定义一个新类型的PUF,控制PUF (CPUF),只能访问通过一个身体上有界的算法。由于这个特点,任何试图打破CPUF之间的联系,该算法会导致破坏CPUF。CPUF的不可分割的算法使得chosen-challenge-based模型攻击更加困难,因为算法生成的挑战(梅斯,2012)。因此,PUF变成CPUF可能增加安全(梅斯和Verbauwhede, 2010)。

公共PUF (PPUF)提出解决古典公共密码学的概念和实践的局限性。贝克曼和Potkonjak (2009)PUFs”特征用于创建一个基于公钥的协议。逆向工程的完整提取参数PPUF的物理系统,当公开PUF模型是可能的。然而,尽管的完整描述结构、模拟输入输出的映射PPUF没有拥有PPUF硬件需要相当长的时间。因此,这种方法可能是免疫边信道攻击由于技术的限制,防止PUF克隆。之后,Ruhrmair (2009)提出了模拟可能的,但费力(SIMPL)系统,这是一个类似的概念提出的贝克曼和Potkonjak (2009)

Kursawe et al。(2009)首先定义了可重构PUF的机制和配置可以被转换为一个全新的PUF,这样即使crp的知识从之前的PUF配置,新PUF的质询-响应行为是无法预测的。应该注意的是,恢复可重构PUF的配置是一项艰巨的任务。此外,配置机制的形式不应该改变的一部分挑战或改变PUF的位置。

2.3 PUF初步

2.3.1 PUF属性

在过去的几年中,许多出版物引入新的PUF概念并试图定义一般PUF的概念。梅斯(2012)以及制定PUFs使用非正式的关键属性限定符如容易或困难和低或高。

•施工能力描述是多么容易构造一个PUF PUF的特定类型的实例。“从容”在这种情况下的限定符包括生产成本。

•评价被描述为“容易评估”出版物(初Gassend et al ., 2002 a;Gassend et al ., 2004)。“从容”在这种情况下取决于PUF结构的变体。从理论上讲,评价指向多项式时间和精力(Gassend et al ., 2002 a);从实用的角度来看,它还可以包括区域,电力,能源,和成本预算实施的应用程序(梅斯,2012)。

•不可预测性是解决“很难描述或预测”(Gassend et al ., 2002 a;Gassend et al ., 2004)。整个PUF的完整描述应该具有挑战性的对手只有一个多项式的资源(时间、crp测定等)。它只能提取一个微不足道的信息对随机选择的响应响应挑战(Gassend et al ., 2002 b)。

•数学unclonability PUF可以被描述为不可预测性的扩展与无限的访问。展品的PUF数学unclonability, PUF仍应是不可预知的,即使敌人PUF拥有无限的物理访问。

•物理unclonability意味着生产(或生产)两个相同的PUFs技术上是不可能甚至原始的生产商(Gassend et al ., 2002 a)。

•再现性财产问题的响应分布相同的挑战。这意味着PUF应该产生相同的反应相同的挑战高概率。

•唯一性问题的响应分布跨PUF实例相同的挑战。PUF应该产生不同的反应和高概率相同的挑战。

•One-wayness财产意味着PUF实例及其随机响应,没有有效的反演算法发现一个挑战,产生一个响应类似于给定的响应。

•篡改证据意味着它是“硬”的身体改变PUF实例对其预先录制好的c反应蛋白无明显影响。

2.3.2 PUF评价指标

作为安全原始,PUF必须产生随机但特定于设备的反应应该是一致的在不同操作条件下,对于一个公平的评价,具体PUF性能指标和工具应该被定义。Majzoobi et al。(2008)定义四个指标,可预测性,碰撞,敏感性,逆向工程,可以显示PUF的弹性对四大类型的攻击,可预测性,碰撞,错误注射,分别和逆向工程的袭击。Hori et al。(2010)建议5个指标的概念:随机性,稳定,正确性,扩散和独特性。Maiti et al。(2010)定义这些PUF评价指标使用不同的术语,bit-aliasing,均匀性、惟一性和可靠性,提出了评价结果环形振荡器PUF (RO-PUF)。金et al。(2018)制定详细定义的指标和显示结果的新提议PUF。这些评价指标图1(采用金(2019))。

图1
www.雷竞技rebatfrontiersin.org

图1。PUF评价指标所定义的Majzoobi et al。(2008),Hori et al。(2010),Maiti et al。(2010)金et al。(2018)前面定义的指标和显示分析结果制定新引入的PUF每个指标。

下面的符号是用来计算评价指标。

www.雷竞技rebatfrontiersin.org
2.3.2.1独特性

独特性代表一个PUF的能力将自己与他人。比例计算的价值计算两个反应之间的汉明距离(HD)从两个PUFs当应用同样的挑战。理想情况下,独特性预计为50%。例如,可以实现理想的独特性当来自两个PUF实例的响应(相同的挑战)平均half-bit差异。当PUF实例的数量超过两个,HDs的平均值从所有可能的组合 ( p 2 ) 表示唯一性。因此,独特性是平均inter-PUF HD和可以表示如下:

U n u e n e 年代 年代 = 1 ( 2 P ) = 1 P 1 j = + 1 P H D r , r j l × One hundred. % , ( 1 )

在哪里rrj响应字符串来自哪里th和j分别th PUF实例。

2.3.2.2扩散

扩散是一个PUF的能力产生不同的反应。类似的独特性,漫无边际的比例计算,但它计算响应之间的高清PUF的各种挑战。因此,扩散显示了在单个PUF的反应程度的差异。理想情况下,扩散预计为50%。这一过程可以表达如下:

D ff u 年代 e n e 年代 年代 = 1 ( 2 C ) = 1 C 1 j = + 1 C H D r , r j l × One hundred. % , ( 2 )

在哪里rrj响应字符串吗th和j挑战PUF实例。

2.3.2.3可靠性

可靠性代表PUF的能力产生相同的反应同样的挑战在两个不同的场合下不同操作条件如温度和电源电压。理想的可靠性是100%,只能获得与零位错误率(BER)。可靠性表示如下:

R e l 一个 b l t y = One hundred. % B E R ( 3 )

理想PUF应该提供零响应差异在不同操作条件下同样的挑战,因此,系统可以定义如下:

B E R = 1 ( 2 T ) = 1 T 1 j = + 1 T H D r , r j l × One hundred. % , ( 4 )

在哪里rrjth和jth响应字符串相同的挑战,PUF实例。

2.3.2.4均匀性

均匀性代表PUF的能力产生平衡位作为回应。它表示为一个百分比的计算汉明重量(HW)作为回应,和理想的均匀性是50%。一致性可以计算如下:

U n f o r t y = 1 l j = 1 l r , j × One hundred. % , ( 5 )

在哪里r,jjth一点l一些反应的th PUF实例。位串的均匀性可以通过单项成绩的统计评估测试套件由NIST提供。

2.3.2.5 Bit-aliasing

Bit-aliasing代表PUF生产能力的平衡位在响应。它可以测量计算的总数量的某一位来自不同PUF反应一个相同的挑战。理想bit-aliasing是50%,它可以表示如下:

- - - - - - 混叠 = 1 P = 1 P r , j × One hundred. % , ( 6 )

2.3.3 PUF攻击

PUF可受到各种攻击。敌人可以尝试复制(克隆)或建立原始模型使用各种方法,如直接测量和选择挑战的一代。

对克隆攻击,整个反应相应的挑战中可以读出一种侵入性的方式。在这种情况下,弱PUF可以读出,即使存在于系统的响应只在短时间内。即使是注意防止关键读出标准片上通道,其他威胁使用激光刺激可以揭示如果使用PUFs疲软的关键。在入侵攻击,敌人可以重新编程细胞的倾向使用聚焦离子束电路编辑,从而有效地克隆CRP PUF的行为。克隆和入侵攻击看上去不那么适用于强PUFs。

最相关的攻击强PUFs建模攻击方法。在这种方法中,敌人从一个给定的PUF收集大量的c反应蛋白,并试图推断未知的crp的PUF的行为通过数值方法参数模型使用收集的crp。机器学习(ML)算法是一种强大的工具。事实上,如果一个人可以学习基本的延迟与挑战的交互部分参数和模型,可以准确地预测的响应比特随机挑战,即使没有对PUF的访问(梅斯和Verbauwhede, 2010 b)。

3 PUF结构

本节提供不同类型的PUFs的例子。特别是,随机性的来源、配置和性能结果进行了讨论。

3.1涂层PUF

Posch (1998)提议使用一个活跃的涂层保护IC,Tuyls和Škorić(2006)提出进一步构建PUF将涂料层集成到集成电路IC的顶部。覆盖着一层保护层,掺杂随机介质粒子随机大小和形状。金属传感器的集成电路装备了一个数组在涂料层。每个本地传感器探测涂料层的介电性能和测量电容。涂层PUF,选择特定的传感器所面临的挑战,并测量电容值选择传感器成为响应。涂料层可以身体保护涂料PUFs对物理攻击。篡改证据也证实以来,涂料层位于顶部的IC。然而,涂层PUFs挑战有限空间,因为传感器的数量只能是有限的,和数学克隆的可能性存在。

3.2光学PUF

的概念构建PUF使用可见光的交互与随机结构首先提出的帕普(2001)帕普et al。(2002)。光学PUF采用光学标记微细结构,每一个令牌产生不规则的斑纹图样折射粒子的微观结构与激光辐照时。然后,模式是加工成一个二进制向量使用图像处理技术。激光导向作为挑战,由此产生的特征向量是反应。光学PUF的好处是有一个大的挑战空间预测和计算困难应对未知的挑战(Tuyls et al ., 2005)。然而,它表现出相对较低的可靠性比传统PUFs需要敏感的读者,这就增加了部署这些PUFs成本(Ruhrmair et al ., 2011)。

江和庄(2008)提出不同的光学PUFs利用随机模式形成的散射磷颗粒随机大小和形状。磷PUF的模式然后用于防伪系统。

3.3硅PUF

建筑PUF硅显著优势,PUF功能可以直接连接到标准的数字迂曲嵌入在同一芯片上。这使得硅PUFs成为现代PUF的主流结构。本节讨论的例子硅PUFs和总结随机性的来源,每个PUF的配置和性能。

3.3.1环形振荡器PUF

环形振荡器PUF (RO-PUF)是一个著名的硅基PUF,通常归类为一种内在的PUF。RO-PUF可以有各种配置不同的随机源,但都是基于振荡电路的频率的变化。Gassend et al。(2002 b)提出delay-based RO-PUF。其架构包括一个延迟电路放置在振荡器电路循环附加和盖茨(图2)。延迟电路组成n1阶段的开关组件和最后一个多路复用器(MUX) (图2 b),n挑战的是位长度。每个开关组件包括两个2比1 mux和双缓冲区(图2 c)。在每个转换阶段,输入(上升或下降)边缘可以生气或直送到其输出终端根据挑战的阶段。后n1阶段,两条边的选择通过负反馈MUX和输入输入形成振荡。振荡信号的频率是由边缘检测器,然后计算和统计值PUF的回应。这个PUF的主要缺点是,虽然许多挑战指数,并不是独立的挑战。这可能会导致严重的脆弱性模型的攻击。因此,RO-PUF可能“物理unclonability”但不是“数学unclonability”(梅斯,2012)。

图2
www.雷竞技rebatfrontiersin.org

图2。RO-PUF提出的Gassend et al。(2002 b)(一)RO-PUF建筑(B)n - 1阶段延迟电路。(C)2比1 MUX-based转换阶段。

Suh和Devadas教授(2007)介绍另一个RO-PUF使用多个振荡回路。他们使用相同的实现n数字的环形振荡器模块包括系列逆变器链(图3)。挑战,PUF使用mux选择块的一对。然后,两个计数器分别计算从选定的振荡信号的频率。比较两个数的值(f一个fB)是对应的PUF的反应。可能的挑战是计算的数量 ( n 2 ) 。然而,并不是所有的这些挑战都是独立的,因为订单的频率。使用严格独立的挑战,挑战的方法只能选择两个相邻块可以使用。这种方法减少了挑战空间n / 2。作者还提出了一个1除以-k屏蔽方案、分组k振荡器模块来提高可靠性。注意这个RO-PUF变成弱PUF因为有数量有限的挑战,可以配置PUF的操作。

图3
www.雷竞技rebatfrontiersin.org

图3。RO-PUF提出的Suh和Devadas教授(2007)

Maiti和Schaumont (2009)Maiti和Schaumont (2011)提出reliability-enhanced RO-PUF。减少不良偏见引起的变异对振子的位置(空间相关性),群振荡器放置尽可能接近,和振荡器的物理上相邻两选择响应的一代。这表明改进的独特性能和可靠性近理想牺牲空间可能的挑战。

阴和瞿(2010)提出了一个关于RO-PUF利用子序列分组算法,而不是处理空间相关性。PUF显示×9.82和1除以RO-PUF——相比提高可靠性k屏蔽方案(k= 8)在保持独立的挑战空间 n 2 。不久之后,阴et al。(2013)建议使用低复杂度算法来取代subsequence-based分组算法的实用性。

3.3.2仲裁者PUF

仲裁者PUF (Arb-PUF)是另一个著名的PUF类型集中在延迟特性随机性来源。Gassend et al。(2004)首先提出PUF仲裁者电路。Arb-PUF使用两个延迟路径作为一种连接形式n数字开关组件(图4一)。每个阶段由一个开关的电路组件的两个2−−1 mux和两个缓冲区(图4 b)。类似于RO-PUF,输入(上升或下降)边缘可以生气或直送到它的输出终端。后nth阶段,仲裁者电路用于反应生成,比赛的赢家(图4 c)。的响应是决定由一个2n可能的延迟路径(挑战)。然而,并不是所有这些延迟路径是独立的,这使得Arb-PUF容易建模的攻击。缺乏独立的反应明显成果独特性,远离理想值的1%,50%。

图4
www.雷竞技rebatfrontiersin.org

图4。Arb-PUF的基本结构。(一)Arb-PUF使用(B)开关组件的多个阶段(C)仲裁者电路。

李et al。(2004)提出了nonlinearity-based Arb-PUF, PUF集成前馈(FF)仲裁者1。主体结构与传统Arb-PUF类似,但FF仲裁者被添加到一些开关组件(图5)。添加FF组件的影响是明显的实验Lim et al。(2005)林(2004)。结果显示38%的独特性的显著改善。然而,包括实现FF牺牲PUF 5%的可靠性。这可能是由于增加的噪声概率由于内部仲裁者(Majzoobi et al ., 2009)。尽管如此,可以使逆向工程过程的非线性行为。Suh和Devadas教授(2007)提出了PUF配置增加抵抗攻击xor仲裁者的多个输出电路,使输出(响应比特)。但是,之后,这是表明攻击使用先进的ML技术能有效地打破这个PUF(的安全Ruhrmair et al ., 2010)。

图5
www.雷竞技rebatfrontiersin.org

图5。FF-Arb-PUF电路提出的李et al。(2004)Lim et al。(2005)

3.4基于内存的PUF

广泛调查类型的硅基PUFs之一是基于内存PUFs。存储设备如D人字拖和SRAM是由标准互补金属氧化物半导体(CMOS)组件;因此,基于内存的PUF的优点是一个独立的生产/制造过程不需要使用PUF特性在芯片上。除了制造业受益,响应测量电路可以本质上基于内存的PUFs简单比delay-based PUFs。

闪存单元由一个数组浮置栅极晶体管组成堆叠两门(控制门和浮置栅极)。每个晶体管的阈值电压免费在其浮栅由于制造过程的变化而异。这意味着所需的电荷存储逻辑“0”值随晶体管晶体管。

动态随机存取记忆体(DRAM)是用于构建PUF的调查。DRAM单元由一个电容器和一个访问晶体管。DRAM单元位线(提单)带有逻辑值取决于电容的电荷量。每个单元都有不同的泄漏的电容器充电水平由于non-ideality访问晶体管所造成的,例如,亚阈值漏以及gate-induced排水管泄漏。

Guajardo et al。(2007)提出SRAM-PUF,利用随机性从SRAM细胞来源,通常在一个排列结构。SRAM单元的结构通常由六个晶体管2有两个访问晶体管控制字线(WL)信号和两个cross-coupled逆变器连接的数据行( ̄ 和Q)到bit-lines ( B l ̄ 和提单)。SRAM的启动状态细胞PUF用于建筑。由于无法控制的变化过程,每个单元是独立的启动状态。因此,对于SRAM-PUF,面临的挑战是给定的内存位置的选择,和反应是细胞读出的结果。

选择基于内存PUFs使用更先进的数字存储元素也被引入。苏et al。(2007)提议使用一个门闩,每个存储单元包括cross-coupled也不是盖茨。像SRAM-PUF,门闩PUF依赖随机性在阈值电压不匹配造成的记忆细胞。Kumar et al。(2008)蝴蝶PUF,提出一个方法来模拟SRAM的行为虽然可以解决复位记忆细胞在启动时的需要。它由两个cross-coupled门闩清楚/预设输入驱动细胞不稳定。van der李et al . (2010)引入了一个处理方法来克服创业提供的自然价值偏见的D触发器PUF的细胞。

大多数内存设备标准CMOS组件自由分布在ICs。在这种背景下,基于内存PUFs受益没有(或低)所需的额外资源嵌入集成电路安全功能。基于内存PUFs通常生成有限数量的c反应蛋白;因此,他们通常适用于安全密钥生成。尽管大多数实现接近理想的独特性(50%),没有观察到异常高的可靠性。可靠性是一个关键属性安全密钥生成和未能实现这一目标将需要一些纠错的过程。

对PUF 4新兴的非易失性内存

4.1新兴的非易失性内存

数据存储在任何功能信息处理系统是必需的。是消费电子产品转向普及和移动应用,高性能和额外的电源等硬件要求低,低成本,紧凑成为必不可少的。半导体存储器可以分为两个重要类别有关数据持久性:挥发性和非易失性记忆(NVMs)。虽然挥发性记忆大量的有利特性,比如致密结构(DRAM)和写作/阅读速度快(存储器),断电时,他们失去了存储数据。相反,等NVMs ROM或闪存存储数据时可以保留权力关闭。因此,几十年来,闪存应用爆炸增长。然而,闪存逐渐接近物理极限的可伸缩性。随着CMOS比例接近极限,一些小说提出了存储设备。而3 d闪存的发展可能会继续闪存中一个至关重要的角色,市场,内存的可伸缩性限制导致其他“non-charge”内存技术的考虑(称为新兴NVM)。

新兴NVMs涉及小说机制和材料不同于那些成熟的内存技术。超越经典电子流程的切换机制量子力学现象,离子反应,相变和分子重新配置等。材料包括铁电氧化物、铁磁金属硫属化合物、金属氧化物、碳材料。铁电随机存取存储器(FeRAM)也有类似的建筑的DRAM而是使用铁电层电容器的介电层。当施加电场时,偶极子与磁场方向一致。电荷被移除后,偶极子保留其分化状态。相变电阻存取存储器(极化),相反,依赖于低电阻状态之间切换(LRS结晶相)和高阻状态(小时,无定形的阶段)的硫族化物材料。重大困难预计由于温度相声相邻记忆细胞随着技术的尺度。磁性随机存取存储器(MRAM)依赖于两个铁磁板拿着磁场,由绝缘体分开。一个盘子持有永久磁场时,另一个板可以切换方向(平行或反平行固定板)。 Finally, redox-based random-access memory (redox-based resistive random-access memory (ReRAM)) relies on the formation (LRS) and the rupture (HRS) of conductive filament(s) in the oxide layer.

表1总结了新兴NVMs的特点。FeRAMs提供出色的耐力,好写/读速度和功耗非常低。然而,一个破坏性的阅读过程和可伸缩性限制使其缺乏吸引力。相对较高的电流极化需要很长一段时间在编程。此外,由于热过程,相邻细胞间的串扰在极化大数组(成为一个问题Gaba, 2014)。大型编程当前和可伸缩性问题(串扰问题,当细胞大小尺度)防止MRAM的闪存市场具有成本效益的挑战。电阻开关的记忆如极化和ReRAM从规模问题本质上是自由比charge-based FeRAM。特别是ReRAM有更稳定的电阻状态和一个更大的开/关电阻率(因此,为更好的可靠性较大的噪声容限)相对于其他类型(宋et al ., 2012)。因此,电阻记忆最近成为一个竞争者NVM的竞赛。

表1
www.雷竞技rebatfrontiersin.org

表1。比较新兴NVMs。

4.2电阻随机存取存储器

第一电阻转换效应已经被报道在1960年代早期(吉本斯和小吏,1964)。在2000年代早期,新的兴趣带到ReRAM概念和电阻开关效应一直在观察范围广泛的材料,包括钙钛矿、固体电解质和二元金属氧化物。机理分类广泛ReRAMs分为三类:电解金属化(ECM)设备价变化机制(VCM)设备,和热化学机制(TCM)设备。

的redox-based nano-ionic内存运行基于绝缘体的电阻变化引起的离子(阳离子或阴离子)迁移结合氧化还原过程涉及电极和绝缘材料。ECM-based设备开关通常是由于阳离子运动,而金属氧化物ReRAMs VCM和中医等开关将离子重新配置。氧化物电阻开关设备在一个特定的例子,一个低阻状态之间的切换(LRS)和高阻隔状态(小时)是涉及导电丝的形成和破裂状态转换(s)。

4.2.1单元阵列配置准备

一个高密度ReRAM通过一个简单的横梁结构被称为CBA。可以有多个结构记忆细胞排列成一个矩阵(图6)。在最简单的情况下,每一个交叉点的CBA ReRAM细胞(图6)。4的最小单位细胞大小F2在这个配置中,可以实现在哪里F特征尺寸。然而,这种配置导致偷偷路径问题时小时细胞,LRS细胞包围,读出的状态。一个精确的细胞阅读或低功耗写作,一个额外的选择设备(选择器)是需要连接到本系列的每一个细胞。使用压敏电阻或二极管作为一个选择器元素(图6 b)适用于双相的单极ReRAM但不是设备。图6 c显示了一个叫做1 t1r transistor-one电阻器(张文雄et al ., 2009))。高密度的结构往往是不受欢迎的应用程序需要额外的空间。同样,它更复杂,高温晶体管的制造过程可能不适合back-end-of-line (BEOL)过程。虽然互补模式可以维持一个大小为4F2(图6 d),它本质上是一个点球产生破坏性的读操作(林恩et al ., 2010)。

图6
www.雷竞技rebatfrontiersin.org

图6。crossbar-array架构(一)没有任何选择的元素。(B)细胞的压敏电阻或二极管类型选择器,(C)1-transistor 1-resistor (1 t1r)细胞(D)一个细胞(改编自互补状态是et al。(2016))。

4.2.2 ReRAM可变性

ReRAM显示编程可变性的阻力,包括设备间(D2D)可变性,cycle-to-cycle (C2C)可变性和随机切换。LRS和人事等参数与对数正态分布随机变量分布。ReRAM也有固有的随机性在设备层面由于设备的C2C编程变异和制造水平,如厚度和横截面积变化(陈和林,2011年)。这些电阻与正态分布的随机变量。这些电阻与对数正态分布随机变量分布。

4.2.3 ReRAM可靠性

的可靠性ReRAM有两个关键方面:自行车耐力和数据保留(于2016)。自行车耐力意味着许多编程周期ReRAM设备如何忍受之前不能切换可变性。耐力高度依赖于编程条件,如电流合规和编程电压。数据保留是指多长时间内存设备能保持当前状态;因此,它是高度相关的内存的稳定技术。通常,数据保留预计将超过10年NVM设备保持在一个较高的温度高达85°C,因为操作温度对芯片有望高。许多研究报告,ReRAMs可以兼容与高温耐力和保留。

意想不到的电流波动在ReRAM可靠性的一个主要问题。噪音ReRAM被认为是造成的细丝切换和传导机制。噪音通常显示为1 /f波动的电流,造成多个组件的叠加随机电报噪声(研制)(Ambrogio et al ., 2014)。噪声是一种低频随机波动的电导出现在两个或两个以上的水平,以及不同层次之间的开关时间是一种随机现象。

4.3 ReRAM PUF

ReRAM广泛调查的随机性的PUF由于各种有利的特性;ReRAM的优势是兼容互补金属氧化物半导体制造标准,及其结构具有较高的密度(增加et al ., 2013 b)。同样在低功率相比其他类型的内存MRAM和闪存等。这个内存的访问时间和编程速度快也导致ReRAM-based活跃的研究应用。此外,ReRAM的重构性也使得ReRAM-based PUF实现有利。除了制造不确定性,新兴存储设备,包括ReRAM,显示编程变化,引入了随机切换和C2C可变性。因此,这些足够(理想的)在ReRAM CBA的超密度结构更有利于PUF建设。

比和Potkonjak (2011)引入了积分的概念新兴NVM PPUF建设。不久之后,Rajendran et al。(2012 b)提出NVM基于数组的PPUF。施工使用独特的几何结构称为polyominoes,通过连接形成一定数量的相邻块在水平或垂直方向。电阻开关设备,如ReRAM polyominos CBA用于形式。仿真结果显示49% - -50%的独特性和漫无边际的49% 1% -5%控制氧化物厚度变化(Rajendran et al ., 2012 b)。Bit-aliasing和一致性也接近理想(50%)(Rajendran et al ., 2012 a)。比和Potkonjak (2013)证明了攻击的场景。的输入和输出向量之间的相关性PUF由大输入集非平凡的空间。虽然发现的可能性预测映射所提到的,所有可能的输入设置的任务也会增加PUF的针的数量呈指数级增长。其他的攻击场景还声称,困难PUF的尺寸增大而增大。最后,边信道攻击是不可行的,因为PPUF已经公开,也没有攻击显示新的信息。

Kavehei et al。(2013)提出的概念将电阻转换存储器集成到RO-PUF结构。小时的电阻变化和LRS用来确定延迟的环形振荡器除了CMOS工艺变化(图7)。高et al。(2015)全面评估这PUF的性能。crp估计的数量 n 2 ( n ) ( n 1 ) ,在那里n环形振荡器的数量,显著增加数量相比传统硅RO-PUF。ReRAM RO-PUF有优点,比如大量的c反应蛋白和相对较低的面积开销,但使用的原始响应PUF直接作为加密密钥是不现实的可靠性。为了解决这个问题,需要包括一个纠错的过程,有可能增加PUF的面积和成本。

图7
www.雷竞技rebatfrontiersin.org

图7。(改编自ReRAM-based RO-PUF结构高et al。(2015))。

马修et al。(2015)提出PUF集成ReRAM Arb-PUF结构。这个PUF的架构包括同等数量的延迟组件(在两个不同的路径图8)。每个延迟元素由一个ReRAM细胞和一个晶体管的排水终端连接到存储单元。的路径,一个D触发器是共享的,每个输入单独连接到每个路径。对于每一个路径,晶体管的栅终端控制相同的挑战以不对称的方式。根据其挑战,每个存储单元的阻力水平调整,然后比赛之间的脉冲信号通过每条路径传播,导致应对挑战。

图8
www.雷竞技rebatfrontiersin.org

图8。(改编自ReRAM-based Arb-PUF结构马修et al。(2015))。

图9
www.雷竞技rebatfrontiersin.org

图9。T1R ReRAM-based PUF(改编自陈(2015))使用(一)1 t1r ReRAM数组。(B)预计LRS /小时分布。

Chatterjee et al。(2016)评估ReRAM Arb-PUF攻击。这包括模型的鲁棒性攻击(50.37 -60.67%)和高脆弱性选择不同密码分析攻击。解决潜在的安全问题,修改ReRAM-based Arb-PUF建议。排水和源终端晶体管连接每个存储单元的修改,使调节细胞的阻力取决于应用的挑战。结果,修改PUF达到提高免疫力的攻击进行了讨论。

介绍了Arb-PUF使用1 t1r ReRAM CBAGovindaraj和Ghosh (2016)。CBA的使用增加了前面提出的有限数量的crp ReRAM Arb-PUFs。仿真结果表明高可靠性不同的电压和温度条件下的99.87%。后,另一ReRAM-based Arb-PUF提出的贝克曼et al。(2017)

柔丝et al。(2013)玫瑰et al . (2013 b)介绍PUF,专注于内存写时间参数。ReRAM细胞写时间,所需的最小时间开关记忆从小时到LRS,变化,这个变化可以用于构建PUF的随机源。数据写使用写时间和存储的数据读出使用XOR门,他的另一个输入端连接到挑战,并读出对应的响应。Mazady et al。(2015)实验证明了1比特write-time-based PUF。最低写时间需要仔细校准坚实的统计行为。玫瑰和米德(2015)提出一个修改write-time-based PUF关注结构特征的CBA最小化需要额外的校准过程。使用互补的写作计划的修改结果(两行),度假村的相对写时间对记忆电路。此外,修改后的PUF可以生成multi-bit反应在一个执行;然而,这一特性增加了类似于Arb-PUF模型攻击的脆弱性。

Uddin et al。(2016)添加了xor技术对反应和提高可靠性的仿真。之后,Uddin et al。(2017)柔丝et al。(2017)添加在横梁上建立非线性电路块。换句话说,一些列的内存CBA路由到其他仲裁者输入。然后,两个仲裁者输出加上XOR门来生成一个响应。由于结构的复杂性,它预计将对ML-based建模更健壮的攻击。Uddin et al。(2017 b)评估这个PUF的攻击漏洞,发现著名的机器学习算法改进的健壮性。

Koeberl et al。(2013)提出了写时间,voltage-based PUF,以前也有类似的概念,介绍了write-time-based PUF。首先,所有的记忆细胞将LRS,然后,低write-voltage应用于所有细胞再次重置,理想情况下准确地说,一半的细胞回到小时。虽然这一修改带来了一些好处,但它也有缺点。pre-calibration过程的负担增加,CBA大小限制总crp的总数。

陈(2015)提出ReRAM切换概率PUF建立在1 t1r CBA(9)结构。操作过程类似于写时间/ voltage-based PUF。特定pre-calibrated电压重置细胞以50%的概率是适用于所有细胞,和一个随机LRS /小时模式作为随机源。(位置)的内存地址单元阵列所面临的挑战,并读取的数据响应。更重要的是,由于骨折的随机行为和纤维的形成,扭转重建几乎是不可能的,这可能有助于降低易受攻击。

许多研究调查随机LRS /小时模式内存CBA PUFs随机性来源。格瓦拉et al。(2014)提出voltage-to-digital转换器——PUF (VDC)。使用直流旨在实现双峰阻力分布内存数组中。LRS阻力的记忆细胞是数字化使用直流值从0到127,这是存储在SRAM阵列通过细胞间的映射。细胞分为两类的中值电阻分布。然后,所有记忆细胞属于组与高阻分布重置为小时,产生随机LRS /小时模式在内存数组中。这将创建一个独特的PUF签名不同于其他PUF实例。

刘et al。(2015)调查了split-reference当前方法建立PUF提高可靠性。首先,所有ReRAM细胞阵列与小时编程,然后读给找到一个理想的参考电流将他们分成两组相同数量的细胞。类似于以前的作品,记忆细胞与感应电流参考当前设置LRS之上。给出的地址作为挑战,数字读出通过读出放大器PUF的反应。对于改善PUF可靠性,它利用八个平行细胞读出方法反应生成;该方法可能会增加面积和电力费用。

彭日成et al。(2019)建议split-resistance技术PUF的可靠性更高。感应窗口可以通过借贷扩大阅读的方法这两个选择的记忆在对面的挑战和编程成州,LRS和小时,分别基于结果。20个测试芯片,每个8 kb ReRAM数组,捏造,PUF评价指标测量实验。

刘et al。(2016)认为PUF的篡改证据属性。ReRAM导电氧化物不太可能发射光子在x射线扫描的激光。然而,如果对手使用显微探针来提取秘密信息,存储单元的数字反应可以阅读放大器。布局提出模糊方法来克服这个问题。此外,multi-cell-per-bit方法采用改进的保留的记忆。Shrivastava et al。(2016)多单元读出的可靠性评估方法。PUFs没有这种方法显示1.78%的失败率。的利率降低至0.13% two-cell-per-bit法和零eight-cells-per-bit法。zero-failure率确保没有要求一个纠错码(ECC),可能会增加电路的开销。

彭日成et al。(2017)提出另一个PUF专注于增强可靠性。首先,所有的记忆在1 t1r CBA复位为小时。两个相邻列的地址作为一个挑战,和响应的逐行比较两个选中列的抗性细胞。在完成每一行比较,细胞较低的电阻是LRS编程。的方法有效地提高了可靠性PUF∼100%∼95%的没有方法。

Cambou和Orlowski (2016)研究了三元构建PUF ReRAM状态。而不是二进制状态的内存,LRS和小时,记忆细胞分为三组有两个阈值,熵增加到3n。声称额外的有利特性,包括减少脆弱性边信道攻击和ECC(或减少)的要求。

Zhang et al。(2014)提出新兴内存PUFs利用电阻比较。PUF,记忆细胞的固有电阻变化的三种类型的新兴NVMs spin-transfer-torque磁性随机存取存储器(STT-MRAM)、极化,和ReRAM随机性的主要来源。反应生成、单端和差动传感模式提出了;第一个模式比较的电阻选择细胞参考细胞,而第二个模式比较两个选定的抵抗细胞(图10)。不久之后,电阻网络新兴NVM PUF提出的Zhang et al。(2015)陈(2015 b)同样提出PUF生成响应的位操作比较ReRAM细胞。而不是比较一对细胞抵抗,陈et al。(2015)提出了一种优化ReRAM-based PUF利用4个比较方案(图11)。使用方案提供了明显更多的c反应蛋白,实现一个戒备森严的水平相比,一对细胞耐药性比较。

图10
www.雷竞技rebatfrontiersin.org

图10。双模式网络PUFs(一)单端模式和(B)差模(改编自Zhang et al。(2014))。

图11
www.雷竞技rebatfrontiersin.org

图11。不同类型的结构ReRAM PUFs。(一)Cross-point-based ReRAM PUF,改编自陈et al。(2015),(B)偷偷基于路径ReRAM-PUF,改编自高et al。(2016)(C)非线性ReRAM-PUF改编自金et al。(2018)

被动ReRAM CBA Sneak-path是一个不可避免的特性。高et al。(2016)调查的PUFs sneak-path作为其随机性来源可以增加的数量比常规电阻网络PUFs crp。一半的数组行处理生成每个响应根据挑战位(图11 b)。然后,每一列的当前值被施加读取电压读出选中的行,而不是选择细胞保持漂浮。

由于大量的c反应蛋白,可以免疫PUF中间人攻击,因为crp从来没有被重用。另一个可能的攻击模型来衡量每一个存储单元。即使人们认为这是可能的,这是一个耗时的,实际上不是一件容易的事来模拟一个大型网络的大型随机电阻的值。公共认证协议采用否定在这种情况下可能的攻击。的主要缺点sneak-path-based PUF大规模的电力消耗是对每一个响应一些代以来多个记忆细胞需要同时读出。(刘et al ., 2017)评估PUF的扩散。pre-calibration方法引入的相对贫穷的扩散sneak-path PUF。分裂的参考方法,类似于一个提出的刘et al。(2015)也被采用。

ReRAM PUF重点提出的非线性特性金et al。(2018)。采用连接CBA层创建一个隐藏的挑战解决第二层的记忆细胞(图11 c)。此外,CRP数量增加了使用一个多单元选择方案。PUF评估仿真,得到接近理想值在所有PUF上面定义评价指标项目。之后,多层和多单元选取PUFs提出了(Nili et al ., 2018)和实验证明(亚当et al ., 2017;金正日et al ., 2018 b)。采用多个细胞选择可以扩大CRP ( p n ) × ( n ) ,在那里p行和数量吗列的数量用于响应的一代。

李et al。(2019)多国ReRAM构建可重构PUF的调查。选中的记忆细胞重新编程在每一个挑战,产生不同的反应。介绍了优越的随机性,利用D2D和C2C变化。PUF可靠性,可以由温度变化明显退化,使用温度补偿增加到98%。

4.4比较和讨论

4.3节总结了实验验证的关键特性ReRAM-based PUFs。性能估计相关区域和功率预算,可靠性和独特性基于CMOS的PUFs和新兴技术进行了总结和比较表2。在大多数情况下,独特性接近50%。然而,扩散测量并不是经常报道,尽管它们的重要性。应达到的质量,但并不是经常在文学,强调之间的相关性是一系列类似的问题时的反应。一致性的结果显示值接近50%以上提出的PUF除外刘et al。(2018)。然而,挑战集之间的相似性是不清楚在大多数文学。的多层ReRAM PUF报道金et al。(2018)仍接近50%的理想的均匀性在应用一套非常相似的挑战。

表2
www.雷竞技rebatfrontiersin.org

表2。独特性,扩散、一致性和bit-aliasing比较ReRAM-based PUF结构。

表3总结了可靠性值ReRAM PUF,环境因素显著影响可靠性。一般来说,优秀的可靠性需要删除辅助数据或ECC的必要性。一些早期ReRAM-PUF研究仅仅是基于简单设备行为模型没有仔细考虑其他ReRAM的固有特征。例如,C2C,可能导致可靠性退化,并不是彻底调查。即使与紧凑的模型研究,通过模拟结果,这可能是投机没有实验实施和验证。然而,大多数PUFs不充分的实验验证。例如,电阻变化是基于实验,而外围电路只是建议但不是实验实施。值得注意的是,传感电路受到一个小电阻传感保证金和长访问时间比较法由于高和细微的差别在细胞抵抗。因此,读出放大器(SA)成为这些PUFs的一个重要部分。

表3
www.雷竞技rebatfrontiersin.org

表3。的可靠性ReRAM-based PUF建筑在文献中。

表4总结了PUF设计的机会。开发的一套统计检验国家标准与技术研究所(NIST) (Bassham et al ., 2010)是用来评估反应生成的随机性。只有少数ReRAM-PUFs提供成功的结果,有些只是部分进行测试。这可以因为NIST测试套件建议使用很长的位流(例如,10 Mbit)。c反应蛋白的数量为每个PUF也估计。LRS /小时基于模式ReRAM PUFs显示数量有限,他们往往需要一个精确的pre-calibration过程。通过利用比较和不同细胞选择方法,c反应蛋白的数量可以显著增加(高et al ., 2016;彭日成et al ., 2017 b;刘et al ., 2017;金正日et al ., 2018 a;刘et al ., 2018;Nili et al ., 2018)。

表4
www.雷竞技rebatfrontiersin.org

表4。ReRAM-based PUFs性能比较。

5的挑战和未来的前景

新兴NVM技术研究已经明显在过去的几年里,和几个新兴NVM-based原型应用程序开发。这些产品,包括PUF和随机数生成器(RNG),显示潜在的高速、低功耗和成本效益的嵌入式内存的应用程序。尤其是ReRAM,是最有前途的一个记忆技术由于其结构简单的优点,兼容现有CMOS技术,优秀的开关速度,规模最小的规模和能力。尽管有这些优势和可能性,最重要的一个方面彻底调查时使用ReRAM是记忆的可靠性。在设备使用时,这尤其重要,不能没有使用可靠性保证,如PUFs。PUF可靠性,而不是记忆可靠性,可以提高使用额外的读取或/和编程步骤或ECC算法。这些方法增加操作时间和芯片制造成本,但至关重要,当ReRAM设备的可靠性较低。

ReRAM读取当前直接相关方面的力量。简而言之,使用高电阻ReRAM细胞更有利的权力比使用低阻细胞;然而,较长的阅读时间等问题,需要一个复杂的读出放大器,并增加感应错误可能发生。这种力量方面也与有多少记忆细胞用于一个质询-响应的一代。因此,可以设计和实现可行PUFs考虑从内存设备本身的材料方面合适的传感电路设计和反应生成方案。

ReRAM记忆的特点之一,尚未全面调查是ReRAM细胞的多态特性。以前的作品表明,多语言可用于随机性PUF的来源。与传统的记忆,如果多个州的记忆细胞是妥善处理,他们将被用于有效的在各个领域的系统开发。的可重构特性ReRAM PUF是一种有效的方式来增加安全级别没有额外的硬件。因此,需要反映这种特性时的一个重要因素来判断未来的工作性能的ReRAM-based PUF。

6结论

一般的安全上下文是一个历史悠久的话题。在现代世界,重要性越来越重要;然而,尽管其重要性、硬件安全在实践中很难维护。这是可能的,因为它必须保证在尽可能低的成本,同时提供尽可能高的安全级别。PUF被认为是解决这些安全问题。PUFs通常利用变化通常是理想的CMOS作为他们的主要来源。从组件的角度来看,强PUFs利用CMOS电路和不可避免的变化的弱PUFs使用主流内存技术一直在积极研究。作为一个新兴NVMs ReRAM显示优秀的潜力被用作随机性的PUFs来源。有几个要点,ReRAM内存数组结构被认为是构建与crp增加PUF。ReRAM的结构性优势包括超高密度和简单的CMOS兼容。 In addition to these structural advantages, variability, which can be the most important in PUF, can be found not only in the existing device-to-device but also in one device (cycle-to-cycle, multistate, etc.). In short, ReRAM shows full variability in a very compact structure. The manuscript reviews various ReRAM-based PUF implementations; the main review points include the source of the randomness and how to provide CRP of each implementation. For a detailed discussion on the feasibility, each implementation’s performance metric measurement results are considered. More efforts in research should aim to build methods to achieve reliable, lower power consumption, cost- and area-efficient hardware security system. In particular, memory stability and ReRAM’s multistate could serve as critical points to elevate ReRAM-based PUFs to a higher level.

作者的贡献

JK对其他作品进行了评估和分析,写的手稿。

的利益冲突

作者说,这项研究是在没有进行任何商业或金融关系可能被视为一个潜在的利益冲突。

出版商的注意

本文表达的所有索赔仅代表作者,不一定代表的附属组织或出版商、编辑和评论员。任何产品,可以评估在这篇文章中,或声称,可能是由其制造商,不保证或认可的出版商。

脚注

1它最初提出的Gassend et al。(2004)以粗暴的方式。

2SRAM细胞变化的类型,和其他使用4、8、10或12晶体管。

引用

亚当,G。,,H。,金,J。,Ho年代k我n年代,B。Kavehei, O。, Strukov, D. (2017). “Utilizing IV non-linearity and analog state variations in ReRAM-based security primitives,” in在第47届欧洲固态设备研究进展会议(ESSDERC),比利时鲁汶,2017年9月(IEEE),74 - 77。

CrossRef全文|谷歌学术搜索

Ambrogio, S。,B一个l一个tt我,S., Cubeta, A., Calderoni, A., Ramaswamy, N., and Ielmini, D. (2014). Statistical fluctuations in HfOxresistive-switching记忆:我把一部分/重置可变性。IEEE反式。电子设备61年,2912 - 2919。doi: 10.1109 / ted.2014.2330200

CrossRef全文|谷歌学术搜索

安德森,r (2001)。安全工程:构建可靠的分布式系统的指南约翰威利& Sons

谷歌学术搜索

奥古斯特,k (1883)。La cryptographie招募。j .科学。招募第九,5 38。

谷歌学术搜索

Bassham l E。,Rukhin, A. L., Soto, J., Nechvatal, J. R., Smid, M. E., Barker, E. B., et al. (2010).SP 800 - 22启1。统计测试套件随机加密应用程序和伪随机数生成器。Tech.代表。国家标准与技术研究院

谷歌学术搜索

贝克曼,K。Manem, H。,C一个dy, N. C. (2017). Performance enhancement of a time-delay PUF design by utilizing integrated nanoscale ReRAM devices.IEEE反式。紧急情况。上面。第一版。5,304 - 316。doi: 10.1109 / tetc.2016.2575448

CrossRef全文|谷歌学术搜索

贝克曼,N。,Potkonj一个k,M. (2009). “Hardware-based public-key cryptography with public physically unclonable functions,” in信息隐藏,206 - 220。

CrossRef全文|谷歌学术搜索

拜尔,J。,Jasperneite, J., and Sauer, O. (2015). Industrie 4.0.a t -奥特曼。63年,751 - 752。汽车- 2015 - 0068 . doi: 10.1515 /

CrossRef全文|谷歌学术搜索

Cambou B。,一个fghah, F. (2016). “Physically unclonable functions with multi-states and machine learning,” in学报》第十四届国际研讨会上加密体系结构嵌入在逻辑器件(CryptArchi),法国,2016年6月1。

谷歌学术搜索

Cambou B。,Orlowski, M. (2016). “PUF designed with resistive RAM and ternary states,” in《第11届网络与信息安全研究会议,2016年4月,1 - 8。

CrossRef全文|谷歌学术搜索

Chatterjee U。,Chakraborty, R. S., Mathew, J., and Pradhan, D. K. (2016). “Memristor based arbiter PUF: Cryptanalysis threat and its mitigation,” in学报》第29届国际会议在VLSI设计和15日国际会议上嵌入式系统(VLSID),加尔各答,印度,2016年1月(IEEE),535 - 540。

CrossRef全文|谷歌学术搜索

切,W。,Plu年代问uell我c, J., and Bhunia, S. (2014). “A non-volatile memory based physically unclonable function without helper data,” in《IEEE / ACM国际会议上计算机辅助设计(ICCAD),美国加利福尼亚州圣何塞,2014年11月(IEEE),148 - 153。

CrossRef全文|谷歌学术搜索

陈。,l我n,M. R. (2011). “Variability of resistive switching memories and its impact on crossbar array performance,” inIEEE国际可靠性物理学报》研讨会(irp),蒙特雷、钙、美国,2011年4月(IEEE)4。MY.7.1。

CrossRef全文|谷歌学术搜索

a (2015 a)。可重构物理unclonable RRAM函数基于概率转换。电子。列托人。51岁,615 - 617。doi: 10.1049 / el.2014.4375

CrossRef全文|谷歌学术搜索

a (2015 b)。利用电阻随机存取存储器的可变性实现可重构物理unclonable功能。IEEE电子设备。36岁,138 - 140。doi: 10.1109 / led.2014.2385870

CrossRef全文|谷歌学术搜索

a (2015 c)。“全面评估RRAM-based PUF硬件安全应用,”《IEEE国际电子设备会议(IEDM),美国华盛顿特区,2015年12月(IEEE),10.7.1-4。

CrossRef全文|谷歌学术搜索

陈,P.-Y。方,R。刘,R。,Chakrabarti, C., Cao, Y., and Yu, S. (2015). “Exploiting resistive cross-point array for compact design of physical unclonable function,” in《IEEE国际研讨会Hardware-Oriented安全和Trust-HOST,美国华盛顿特区,2015年5月(IEEE),26-31。

CrossRef全文|谷歌学术搜索

陈,t . M。,一个bu- - - - - -Nimeh, S. (2011). Lessons from Stuxnet.电脑44岁,91 - 93。doi: 10.1109 / mc.2011.115

CrossRef全文|谷歌学术搜索

Gaba,美国(2014年)。Resistive-RAM数据存储应用程序。博士学位论文。密歇根大学

谷歌学术搜索

高,Y。,R一个n一个年代我nghe, D. C., Al-Sarawi, S. F., Kavehei, O., and Abbott, D. (2015). Memristive crypto primitive for building highly secure physical unclonable functions.科学。代表。5,12785。doi: 10.1038 / srep12785

《公共医学图书馆摘要》|CrossRef全文|谷歌学术搜索

高,L。,陈,P.-Y。刘,R。,,美国(2016年)。物理unclonable函数利用电阻交叉点数组中偷偷路径。IEEE反式。电子设备63年,3109 - 3115。doi: 10.1109 / ted.2016.2578720

CrossRef全文|谷歌学术搜索

Gassend B。,Cl一个rke,D。,Van Dijk, M., and Devadas, S. (2002a). “Controlled physical random functions,” in第十八届计算机安全应用研讨会论文集(ACSAC ' 02),美国内华达州拉斯维加斯,2002年12月(IEEE),149 - 160。

谷歌学术搜索

Gassend B。,Cl一个rke,D。,Van Dijk, M., and Devadas, S. (2002b). “Silicon physical random functions,” in学报》第九届ACM关于计算机和通信安全的会议,2002年11月(IEEE),148 - 160。

CrossRef全文|谷歌学术搜索

Gassend B。Lim, D。,Cl一个rke,D。,Van Dijk, M., and Devadas, S. (2004). Identification and authentication of integrated circuits.Concurr。第一版。Pract。擅长。16,1077 - 1098。doi: 10.1002 / cpe.805

CrossRef全文|谷歌学术搜索

吉本斯,J。,Be一个dle, W. (1964). Switching properties of thin NiO films.固态电子。7,785 - 790。0038 - 1101 . doi: 10.1016 / (64) 90131 - 5

CrossRef全文|谷歌学术搜索

Govindaraj, R。,Ghosh, S. (2016). “A strong arbiter PUF using resistive RAM within 1T-1R memory architecture,” in《IEEE第34电脑设计国际会议(ICCD),美国亚利桑那州斯科茨代尔,2016年10月(IEEE),141 - 148。

CrossRef全文|谷歌学术搜索

瓜哈尔多,J。,库马尔,S。,Schrijen, G.-J., and Tuyls, P. (2007). “FPGA intrinsic PUFs and their use for IP protection,” in国际研讨会上加密硬件和嵌入式systems-CHES,63 - 80。

谷歌学术搜索

Hori Y。,Yoshida, T., Katashita, T., and Satoh, A. (2010). “Quantitative and statistical performance evaluation of arbiter physical unclonable functions on FPGAs,” in《IEEE国际会议上可重构计算和fpga (ReConFig),墨西哥坎昆,2010年12月(IEEE),298 - 303。

CrossRef全文|谷歌学术搜索

宋,d S。托马斯·R。,Katiyar, R., Scott, J., Kohlstedt, H., Petraru, A., et al. (2012). Emerging memories: Resistive switching mechanisms and current status.众议员掠夺。理论物理。75年,076502年。0034 - 4885/75/7/076502 doi: 10.1088 /

《公共医学图书馆摘要》|CrossRef全文|谷歌学术搜索

江,D。,Chong, C. N. (2008). “Anti-counterfeiting using phosphor PUF,” in第二届国际会议上防伪、安全性和识别(遇到),贵阳,中国,2008年8月(IEEE),59 - 62。

CrossRef全文|谷歌学术搜索

破车,h (2015)。4.0 IT-Sicherheit毛皮工业和物联网。人Datensich。39岁,647 - 651。doi: 10.1007 / s11623 - 015 - 0491 - 8

CrossRef全文|谷歌学术搜索

Kavehei, O。,Ho年代ung, C., Ranasinghe, D., and Skafidas, S. (2013). mrPUF: A memristive device based physical unclonable function.arXiv预印本1302.2191

谷歌学术搜索

金,j . (2019)。Nano-intrinsic安全原语为互联网的一切。博士论文皇家墨尔本理工大学

谷歌学术搜索

金,J。,一个hmed, T., Nili, H., Yang, J., Jeong, D. S., Beckett, P., et al. (2018a). A physical unclonable function with redox-based nanoionic resistive memory.IEEE反式。正,法医。安全内核。13日,437 - 448。doi: 10.1109 / tifs.2017.2756562

CrossRef全文|谷歌学术搜索

金,J。,,H。,亚当,G。,Truong, N., Strukov, D., and Kavehei, O. (2018b). “Predictive analysis of 3D ReRAM-based PUF for securing the Internet of Things,” in《IEEE地区十研讨会(Tensymp),悉尼新南威尔士、澳大利亚,2018年7月(IEEE),91 - 94。

CrossRef全文|谷歌学术搜索

Koeberl, P。,Kocabaş, Ü., and Sadeghi, A.-R. (2013). “Memristor PUFs: A new generation of memory-based physically unclonable functions,” in会议的程序设计、自动化和测试在欧洲,法国格勒诺布尔,2013年3月(IEEE),428 - 431。

CrossRef全文|谷歌学术搜索

库马尔,S。,瓜哈尔多,J。梅斯,R。,Schrijen, G.-J., and Tuyls, P. (2008). “The butterfly PUF protecting IP on every FPGA,” in《IEEE国际研讨会Hardware-Oriented安全和Trust-HOST,美国加利福尼亚州阿纳海姆,2008年6月(IEEE),67 - 70。

谷歌学术搜索

Kursawe, K。,Sadeghi, A.-R., Schellekens, D., Skoric, B., and Tuyls, P. (2009). “Reconfigurable physical unclonable functions-enabling technology for tamper-resistant storage,” in《IEEE国际研讨会Hardware-Oriented安全和信任,旧金山,加州,美国,2009年7月(IEEE),22日。

CrossRef全文|谷歌学术搜索

朗格r (2011)。Stuxnet:解剖网络战武器。IEEE安全内核。我感到,杂志。9,49-51。doi: 10.1109 / msp.2011.67

CrossRef全文|谷歌学术搜索

李,j·W。Lim, D。,Gassend B。,Suh g . E。,Van Dijk, M., and Devadas, S. (2004). “A technique to build a secret key in integrated circuits for identification and authentication applications,” inIEEE学报》研讨会上超大规模集成电路。消化的技术论文,美国檀香山,嗨,2004年6月(IEEE),176 - 179。

谷歌学术搜索

李,g。,Kim, G.-H., Kwak, K., Jeong, D. S., and Ju, H. (2019). Enhanced reconfigurable physical unclonable function based on stochastic nature of multilevel cell RRAM.IEEE反式。电子设备66年,1717 - 1721。doi: 10.1109 / ted.2019.2898455

CrossRef全文|谷歌学术搜索

Lim d (2004)。从集成电路中提取密钥。博士论文麻省理工学院的

谷歌学术搜索

Lim, D。,李,j·W。,Gassend B。,Suh g . E。,Van Dijk, M., and Devadas, S. (2005). Extracting secret keys from integrated circuits.IEEE反式。超大规模集成。系统。13日,1200 - 1205。doi: 10.1109 / tvlsi.2005.859470

CrossRef全文|谷歌学术搜索

林,B。,庞,Y。,Gao, B., Tang, J., Wu, D., Chang, T.-W., et al. (2021). A highly reliable rram physically unclonable function utilizing post-process randomness source.IEEE j .固态电路56岁,1641 - 1650。doi: 10.1109 / jssc.2021.3050295

CrossRef全文|谷歌学术搜索

林,E。,Ro年代ezin, R., Kügeler, C., and Waser, R. (2010). Complementary resistive switches for passive nanocrossbar memories.Nat,垫。9日,403 - 406。doi: 10.1038 / nmat2748

《公共医学图书馆摘要》|CrossRef全文|谷歌学术搜索

刘,R。,Wu, H., Pang, Y., Qian, H., and Yu, S. (2015). Experimental characterization of physical unclonable function based on 1 kb resistive random access memory arrays.IEEE电子设备。36岁,1380 - 1383。doi: 10.1109 / led.2015.2496257

CrossRef全文|谷歌学术搜索

刘,R。,Wu, H., Pang, Y., Qian, H., and Yu, S. (2016). “A highly reliable and tamper-resistant RRAM PUF: Design and experimental validation,” in《IEEE国际研讨会Hardware-Oriented安全和Trust-HOST,美国弗吉尼亚州麦克莱恩,2016年5月(IEEE十三至十八)。

CrossRef全文|谷歌学术搜索

刘,R。,陈,P.-Y。,Yu, S. (2017). “Design and optimization of a strong PUF exploiting sneak paths in resistive cross-point array,” in《IEEE国际研讨会(ISCAS)电路和系统,美国马里兰州巴尔的摩,2017年5月(IEEE),1 - 4。

CrossRef全文|谷歌学术搜索

刘,R。,陈,P.-Y。彭,X。,Yu, S. (2018). X-point PUF: Exploiting sneak paths for a strong physical unclonable function design.IEEE反式。电路系统。我。65年,3459 - 3468。doi: 10.1109 / tcsi.2018.2811643

CrossRef全文|谷歌学术搜索

梅斯,r (2012)。身体unclonable功能:结构、性能和应用。博士学位论文。KU鲁汶大学

谷歌学术搜索

梅斯,R。,Verbauwhede, I. (2010a). “A discussion on the properties of physically unclonable functions,” in相信2010车间(柏林,1 - 11。

谷歌学术搜索

梅斯,R。,Verbauwhede, I. (2010b). “Physically unclonable functions: A study on the state of the art and future research directions,” in信息安全与密码学,比较。doi: 10.1007 / 978 - 3 - 642 - 14452 - 3 _1

CrossRef全文|谷歌学术搜索

Maiti,。,C一个年代一个ron一个,J., McHale, L., and Schaumont, P. (2010). Gene network analysis of oxidative stress-mediated drug sensitivity in resistant ovarian carcinoma cells.药物基因组学J。10日,94 - 104。doi: 10.1038 / tpj.2009.49

《公共医学图书馆摘要》|CrossRef全文|谷歌学术搜索

Maiti,。,Schaumont, P. (2009). “Improving the quality of a physical unclonable function using configurable ring oscillators,” in进行国际会议现场可编程逻辑与应用程序,布拉格,捷克共和国,2009年9月(IEEE),703 - 707。

CrossRef全文|谷歌学术搜索

Maiti,。,Schaumont, P. (2011). Improved ring oscillator PUF: An FPGA-friendly secure primitive.j . Cryptol。24岁,375 - 397。doi: 10.1007 / s00145 - 010 - 9088 - 4

CrossRef全文|谷歌学术搜索

Majzoobi, M。,Koushanfar, F., and Potkonjak, M. (2008). “Testing techniques for hardware security,” in进行的IEEE国际测试会议(ITC),美国加利福尼亚州圣克拉拉,2008年10月(IEEE),1 - 10。

CrossRef全文|谷歌学术搜索

Majzoobi, M。,Koushanfar, F., and Potkonjak, M. (2009). Techniques for design and implementation of secure reconfigurable PUFs.ACM反式。可重构抛光工艺。系统。2 (5),1-33。doi: 10.1145/1502781.1502786

CrossRef全文|谷歌学术搜索

马修,J。,Chakraborty, R. S., Sahoo, D. P., Yang, Y., and Pradhan, D. K. (2015). A novel memristor based physically unclonable function.集成、VLSI J。51岁,37-45。doi: 10.1016 / j.vlsi.2015.05.005

CrossRef全文|谷歌学术搜索

Mazady,。,R一个hman, M. T., Forte, D., and Anwar, M. (2015). Memristor PUF—A security primitive: Theory and experiment.IEEE j .紧急情况。选取。上面。电路系统。5,222 - 229。doi: 10.1109 / jetcas.2015.2435532

CrossRef全文|谷歌学术搜索

,H。,亚当,G。C。,Hoskins B。,Prezioso, M., Kim, J., Mahmoodi, M. R., et al. (2018). Hardware-intrinsic security primitives enabled by analogue state and nonlinear conductance variations in integrated memristors.Nat。电子。1,197 - 202。doi: 10.1038 / s41928 - 018 - 0039 - 7

CrossRef全文|谷歌学术搜索

洼地,C。,Pelzl, J. (2009).了解密码学:教科书对学生和从业者施普林格科学与商业媒体。章1。28。

谷歌学术搜索

庞,Y。吴,H。,Gao, B., Deng, N., Wu, D., Liu, R., et al. (2017a). Optimization of RRAM-based physical unclonable function with a novel differential read-out method.IEEE电子设备。38岁,168 - 171。doi: 10.1109 / led.2016.2647230

CrossRef全文|谷歌学术搜索

庞,Y。吴,H。,Gao, B., Liu, R., Wang, S., Yu, S., et al. (2017b). “Design and optimization of strong physical unclonable function (PUF) based on RRAM array,” in国际研讨会上进行超大规模集成技术,系统和应用程序(VLSI-TSA),台湾新竹,2017年4月(IEEE),1 - 2。

CrossRef全文|谷歌学术搜索

庞,Y。,Gao, B., Wu, D., Yi, S., Liu, Q., Chen, W.-H., et al. (2019). “A reconfigurable rram physically unclonable function utilizing post-process randomness source with< 6 × 10−6在本地比特误码率。进行的IEEE国际固态电路会议(globalfoundries),旧金山,加州,美国,2019年2月(IEEE),402 - 404。

谷歌学术搜索

帕普,R。,Recht, B., Taylor, J., and Gershenfeld, N. (2002). Physical one-way functions.科学297年,2026 - 2030。doi: 10.1126 / science.1074376

《公共医学图书馆摘要》|CrossRef全文|谷歌学术搜索

帕普,r s (2001)。物理单向函数。博士学位论文。麻省理工学院的

谷歌学术搜索

Posch, r (1998)。通过活性涂层保护设备。j .环宇。第一版。科学。4,652 - 668。

谷歌学术搜索

Rajendran, J。红桉,R。,比,j·B。,Potkonj一个k,M., McDonald, N. R., Rose, G. S., et al. (2012a). Nanoelectronic solutions for hardware security.IACR Cryptol。ePrint拱门。575年,1 - 12。

谷歌学术搜索

Rajendran, J。,玫瑰,g。红桉,R。,Potkonj一个k,M. (2012b). “Nano-PPUF: A memristor-based security primitive,” inIEEE计算机协会的年度研讨会上进行超大规模集成(ISVLSI),阿默斯特,美国马,2012年8月(IEEE),84 - 87。

CrossRef全文|谷歌学术搜索

拉维,S。,R一个ghunathan, A., Kocher, P., and Hattangady, S. (2004). Security in embedded systems: Design challenges.ACM反式。嵌入。第一版。系统。3,461 - 491。doi: 10.1145/1015047.1015049

CrossRef全文|谷歌学术搜索

玫瑰,g S。,McDonald, N., Yan, L.-K., and Wysocki, B. (2013a). “A write-time based memristive PUF for hardware security applications,” inIEEE / ACM国际会议进行计算机辅助设计(ICCAD),美国加利福尼亚州圣何塞,2013年11月(IEEE),830 - 833。

CrossRef全文|谷歌学术搜索

玫瑰,g S。,McDonald, N., Yan, L.-K., Wysocki, B., and Xu, K. (2013b). “Foundations of memristor based PUF architectures,” in继续IEEE / ACM国际研讨会的纳米结构(NANOARCH),2013年7月(IEEE),52-57。

CrossRef全文|谷歌学术搜索

玫瑰,g S。,Majumder, M. B., and Uddin, M. (2017). “Exploiting memristive crossbar memories as dual-use security primitives in IoT devices,” inIEEE计算机协会的年度研讨会上进行超大规模集成(ISVLSI),德国波鸿,,2017年7月(IEEE),615 - 620。

CrossRef全文|谷歌学术搜索

玫瑰,g S。,Meade, C. A. (2015). Performance analysis of a memristive crossbar PUF design.Proc。为基础。Des。自动化相依(DAC)75年,1 - 6。

CrossRef全文|谷歌学术搜索

Ruhrmair, (2009)。SIMPL系统:在公钥的变体物理unclonable功能。IACR Cryptol。ePrint拱门。255年,硕士论文。

谷歌学术搜索

Ruhrmair, (2010)。“无视传输基于物理unclonable功能”国际会议在信任和值得信赖的计算,430 - 440。

谷歌学术搜索

Ruhrmair U。Sehnke F。你,J。Dror, G。,Devadas, S., and Schmidhuber, J. (2010). “Modeling attacks on physical unclonable functions,” in学报17 ACM关于计算机和通信安全的会议,2010年10月,237 - 249。

谷歌学术搜索

Ruhrmair U。Jaeger C。Bator, M。,Stutzmann, M., Lugli, P., and Csaba, G. (2011). Applications of high-capacity crossbar memories in cryptography.IEEE反式。Nanotechnol。10日,489 - 498。doi: 10.1109 / tnano.2010.2049367

CrossRef全文|谷歌学术搜索

张文雄,s。,Chiang, P.-C., Lin, W.-P., Lee, H.-Y., Chen, P.-S., Chen, Y.-S., et al. (2009). “A 5ns fast write multi-level non-volatile 1 K bits RRAM memory with advance write scheme,” in诉讼的研讨会上超大规模集成电路,日本京都,2009年6月(IEEE),82 - 83。

谷歌学术搜索

Shrivastava。、陈P.-Y。曹,Y。Yu, S。,Chakrabarti, C. (2016). “Design of a reliable RRAM-based PUF for compact hardware security primitives,” in《IEEE国际研讨会(ISCAS)电路和系统,加拿大的蒙特利尔,QC,2016年5月(IEEE),2326 - 2329。

CrossRef全文|谷歌学术搜索

苏,Y。,Holle米一个n,J., and Otis, B. (2007). “A 1.6 pJ/bit 96% stable chip-ID generating circuit using process variations,” in《IEEE国际固态电路会议(globalfoundries),旧金山,加州,美国,2007年2月(IEEE),406 - 611。

谷歌学术搜索

Suh g . E。,Devadas, S. (2007). “Physical unclonable functions for device authentication and secret key generation,” in美国第44任设计自动化年会,圣地亚哥,加州,美国,2007年6月(IEEE),9-14。

CrossRef全文|谷歌学术搜索

Tuyls, P。,Škorić, B. (2006). “Secret key generation from classical physics: Physical uncloneable functions,” inAmIware硬件技术驱动的环境情报,421 - 447。

CrossRef全文|谷歌学术搜索

Tuyls, P。,Škorić, B., Stallinga, S., Akkermans, A. H., and Ophey, W. (2005). “Information-theoretic security analysis of physical uncloneable functions,” in国际金融会议上加密和数据安全,141 - 155。

CrossRef全文|谷歌学术搜索

Uddin, M。,Majumder, M. B., Rose, G. S., Beckmann, K., Manem, H., Alamgir, Z., et al. (2016). “Techniques for improved reliability in memristive crossbar PUF circuits,” in《IEEE计算机学会学报年度研讨会上超大规模集成(ISVLSI),美国宾夕法尼亚州匹兹堡,2016年7月(IEEE),212 - 217。

CrossRef全文|谷歌学术搜索

Uddin, M。,Majumder, M., Beckmann, K., Manem, H., Alamgir, Z., Cady, N. C., et al. (2017a). Design considerations for memristive crossbar physical unclonable functions.ACM j .紧急情况。抛光工艺。第一版。系统。14 (2),1。doi: 10.1145 / 3094414

CrossRef全文|谷歌学术搜索

Uddin, M。,Majumder, M., and Rose, G. S. (2017b). Robustness analysis of a memristive crossbar PUF against modeling attacks.IEEE反式。Nanotechnol。16,396 - 405。doi: 10.1109 / tnano.2017.2677882

CrossRef全文|谷歌学术搜索

Uryasev,美国(2000年)。”介绍的理论概率函数和百分位数(风险)”概率约束优化,1 - 25。

CrossRef全文|谷歌学术搜索

van der李,V。、Schrijen G.-J。Handschuh, H。,Tuyls, P。(2010). “Hardware intrinsic security from D flip-flops,” in第五届ACM学报》研讨会上可伸缩的可信计算,芝加哥,伊利诺斯州,美国,2010年10月53 - 62。

CrossRef全文|谷歌学术搜索

凡戴克,M。,Ruhrmair U。(2012). Physical unclonable functions in cryptographic protocols: Security proofs and impossibility results.IACR Cryptol。ePrint拱门。228年,1-36。

谷歌学术搜索

是,R。,Ielmini, D., Akinaga, H., Shima, H., Wong, H.-S. P., Yang, J. J., et al. (2016). “Introduction to nanoionic elements for information technology,” in电阻转换:从基本面nanoionic氧化还原过程的记忆性设备应用程序(威利在线图书馆),行。

CrossRef全文|谷歌学术搜索

比,j·B。,Potkonj一个k,M. (2011). “Nanotechnology-based trusted remote sensing,” in《IEEE传感器,爱尔兰利默里克,,2011年10月(IEEE),1213 - 1216。

CrossRef全文|谷歌学术搜索

比,j·B。,Potkonj一个k,M. (2013). “The bidirectional polyomino partitioned PPUF as a hardware security primitive,” in《IEEE全球信号与信息处理(GlobalSIP)会议,美国奥斯汀,得克萨斯州,2013年12月(IEEE),257 - 260。

CrossRef全文|谷歌学术搜索

阴,已经雕刻。D。,和问u,G. (2010). “Lisa: Maximizing RO PUF’s secret extraction,” in《IEEE国际研讨会Hardware-Oriented安全和Trust-HOST,美国加利福尼亚州阿纳海姆,2010年6月(IEEE),100 - 105。

谷歌学术搜索

阴,已经雕刻。曲,G。,Zhou, Q. (2013). “Design and implementation of a group-based RO PUF,” in会议的程序设计、自动化和测试在欧洲,法国格勒诺布尔,2013年3月(IEEE),416 - 421。

CrossRef全文|谷歌学术搜索

,美国(2016年)。电阻随机存取存储器(RRAM)。合成收。紧急情况。Eng。抛光工艺。2,1 - 79。doi: 10.2200 / s00681ed1v01y201510eet006

CrossRef全文|谷歌学术搜索

张,L。,Fong, X., Chang, C.-H., Kong, Z. H., and Roy, K. (2014). “Feasibility study of emerging non-volatile memory based physical unclonable functions,” in《IEEE 6日国际记忆车间(世界地图),台北,台湾,2014年5月(IEEE),1 - 4。

CrossRef全文|谷歌学术搜索

张,L。,Fong, X., Chang, C.-H., Kong, Z. H., and Roy, K. (2015). Optimizating emerging nonvolatile memories for dual-mode applications: Data storage and key generator.IEEE反式。第一版。降落凡间的。Des,中国。电路系统。34岁,1176 - 1187。doi: 10.1109 / tcad.2015.2427251

CrossRef全文|谷歌学术搜索

关键词:硬件安全、物理unclonable函数,新兴的非易失性内存,redox-based电阻记忆,硬件安全原始

引用:金正日J (2022) Nano-intrinsic安全与redox-based电阻记忆原语。前面。审稿。净3:884874。doi: 10.3389 / frcmn.2022.884874

收到:08年3月2022;接受:2022年7月20日;
发表:2022年10月17日。

编辑:

Oluwakayode Onireti英国格拉斯哥大学

审核:

卢卡斯齐默尔曼Hahn-Schickard-Gesellschaft毛皮angewandte大幅减退,德国
菲利普Kodytek在布拉格,捷克技术大学,Czechia
Nafisa努尔南,北大学,孟加拉国
暹罗侯赛因美国加州大学圣地亚哥分校
Hanady伊萨、技术和海上运输(AASTMT),埃及

版权©2022金。这是一个开放分布式根据文章知识共享归属许可(CC)。使用、分发或复制在其他论坛是允许的,提供了原始作者(年代)和著作权人(s)认为,最初发表在这个期刊引用,按照公认的学术实践。没有使用、分发或复制是不符合这些条件的允许。

*通信:Jeeson金,jeesonkim@sejong.ac.kr

下载